Welcome![Sign In][Sign Up]
Location:
Search - viterbi decoder code

Search list

[Program doc最常用的卷积码的维特比C程序viterbi-3.0.1.tar

Description: 维特比译码的C程序,专门用于卷积码的解码!希望对大家有所帮助!-Viterbi decoding C program devoted to convolutional code decoder! We want to help!
Platform: | Size: 14336 | Author: 王建 | Hits:

[Program docencoder_and_viterbi_decoder_for(213)_convolutional

Description: 压缩包内为本人写的(2,1,3)卷积码编码器和维特比(viterbi)译码器.编码器和译码器分别封装在一个类中,每个类的方法和变量均有注解-compressed I write for the (2,1,3) convolutional code encoder and Viterbi (Viterbi) decoder. Encoder and Decoder were packaged in a category, each class methods and variables have explanatory notes
Platform: | Size: 4096 | Author: | Hits:

[CommunicationViterbi-decoding

Description: 通信系统的仿真,Viterbi译码可用于卷积码等的译码解决方案,可以用于通信领域,本例子给出了基于matlab的程序,可用于实际的仿真!-communications system simulation, Viterbi decoder can be used as a convolutional code decoding solution, communications can be used, the example is given of the procedures based on Matlab can be used for actual simulation!
Platform: | Size: 1024 | Author: 陈榧 | Hits:

[Communication-Mobiledeconvgaijin

Description: 卷积码译码算法改进 实现Conv.(2,1,9)的编码、软判决滑动窗维特比译码,其生成多项式为G0=561(八进制),G1=753(八进制),调制方式为BPSK,信道为AWGN,比较不同的译码深度对译码器性能的影响-convolutional code decoding algorithm to improve achievement Conv. (2,1,9) of the Code, Soft Decision sliding window Viterbi Decoder, generating polynomial for the G0 = 561 (octal), G1 = 753 (octal), for BPSK modulation mode, channel for AWGN, compare different depth of decoding decoder performance of
Platform: | Size: 47104 | Author: 李春晖 | Hits:

[Communication-MobileSoft_decision_Viterbi_Decoder

Description: 该代码为Viterbi Decoder C/C++源程序。现为Doc文件。所含的vdsim.h在最后。-the Viterbi Decoder code for C/C source files. Doc is the document. Vdsim.h contained in the final.
Platform: | Size: 7168 | Author: silver | Hits:

[Communication-Mobileviterbi

Description: 卷积码的维特比译码,约束长度为9,分别采用硬判决和软判决实现-Convolutional code Viterbi decoder, constraint length of 9, respectively, using hard-decision and realize soft-decision
Platform: | Size: 11264 | Author: jishanyi | Hits:

[matlabconv_vit_qam

Description: Convolutional(2,1,6) Encoder and soft decision Viterbi Decoder
Platform: | Size: 1024 | Author: huang | Hits:

[matlabconv_vit_qam

Description: Convolutional(2,1,6) Encoder and soft decision Viterbi Decoder 刚才上载的有错误,已修正-Convolutional (2,1,6) Encoder and soft decision Viterbi Decoder just uploaded a mistake, has been amended
Platform: | Size: 1024 | Author: huang | Hits:

[Communication-Mobileveitebi

Description: 强烈推荐好用的卷积码编码,维特比译码和软判决,本代码简易可行。-Strongly recommend use of the convolutional code encoder, Viterbi decoder and soft decision, the code simple and feasible.
Platform: | Size: 3072 | Author: 胡卓 | Hits:

[matlabViterbi

Description: Viterbi Algorithm & Viterbi Decoder Matlab Code.(Provided both soft & hard decision ability). Note: The main function is viterbi.m
Platform: | Size: 3072 | Author: Nick | Hits:

[matlabV

Description: viterbi译码源代码,可以直接调用 The Viterbi decoder for convolutional codes-viterbi decoder source code, can be directly called The Viterbi decoder for convolutional codes
Platform: | Size: 1024 | Author: mengnan | Hits:

[VHDL-FPGA-Veriloghusw

Description: 用VHDL语言设计维特比 解码器 是VHDL原代码用ModelSim XE III 6.3c软件实现仿真-Language Design with VHDL Viterbi decoder is the VHDL source code with ModelSim XE III 6.3c software simulation
Platform: | Size: 1024 | Author: hsw0320 | Hits:

[Communication-MobileViterbi

Description: Viterbi decoder source code
Platform: | Size: 12261376 | Author: leeshuenngi | Hits:

[VHDL-FPGA-VerilogViterbi

Description: Viterbi译码器的FPGA实现代码,来在国外大学论坛.-Viterbi decoder implementation of the FPGA code to the Forum at foreign universities.
Platform: | Size: 8192 | Author: 蔡敏 | Hits:

[OtherFPGAbasedViterbiconvolutionalcodinganddecodingofth

Description: FPGA-based Viterbi convolutional coding and decoding of the Research and Implementation-Convolutional code encoder and Viterbi decoder design
Platform: | Size: 884736 | Author: shajun | Hits:

[Communication-MobileviterbiDecoderConvolutionalCode

Description: Viterbi decoder for nonsystematic convolutional code. The encoder could be designed arbitrary through the generate matrix and parameter k. The number of row of generate matrix is output bits, and collom is constraint length. Parameter k is the number of parallel input. test.m is the main file.
Platform: | Size: 3072 | Author: studenter | Hits:

[VHDL-FPGA-Verilogviterbi

Description: verilog程序,实现了(2,1,4)卷积码编码,和基于回溯算法的维特比译码器-verilog program to achieve the (2,1,4) convolutional code encoding, and algorithm based on the back of the Viterbi decoder
Platform: | Size: 3072 | Author: xiongherui | Hits:

[Communication-MobileMATLAB

Description: matlab code for viterbi decoder
Platform: | Size: 2048 | Author: setareh | Hits:

[Compress-Decompress algrithmsviterbidec

Description: Its a hard decision viterbi decoder that i built for my final year project.it decodes the convolutionally encoded data of code rate 1/2 having constraint length of 3.
Platform: | Size: 2048 | Author: Adnan | Hits:

[VHDL-FPGA-Verilogviterbidecoder

Description: viterbi译码器的Verilog实现,(3,1,7)零尾卷积码-viterbi decoder implementation by verilog HDL (3,1,7)zero tail conventional code
Platform: | Size: 5120 | Author: zhouli | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net